Ticker

6/recent/ticker-posts

Header Ads Widget


 

NOR Gate

 

 NOR Gate


 
 
 CODE

 
library IEEE;
use IEEE STD_LOGIC_1164.all;

entity nor_df is
    port(a,b:in STD_LOGIC;
            y:out STD_LOGIC);
end nor_df;

architecture data_flow of nor_df is
begin
    y<=a nor b;
                  end data_flow;


 

Post a Comment

0 Comments