Ticker

6/recent/ticker-posts

Header Ads Widget


 

NAND Gate

 

 NAND Gate

 

 
CODE  

library IEEE;
use IEEE STD_LOGIC_1164.all;

entity nand_df is
    port(a,b:in STD_LOGIC;
            y:out STD_LOGIC);
end nand_df;

architecture data_flow of nand_df is
begin
    y<=a nand b;
                  end data_flow;

Post a Comment

0 Comments