Ticker

6/recent/ticker-posts

Header Ads Widget


 

NOT Gate

 

 NOT Gate

 

 
CODE   

library IEEE;
use IEEE STD_LOGIC_1164.all;

entity not_df is
    port(a:in STD_LOGIC;
            y:out STD_LOGIC);
end not_df;

architecture data_flow of not_df is
begin
    y<=not a;
                  end data_flow;

Post a Comment

0 Comments