Ticker

6/recent/ticker-posts

Header Ads Widget


 

XNOR Gate

 

 XNOR Gate



 CODE

library IEEE;
use IEEE STD_LOGIC_1164.all;

entity xnor_df is
    port(a,b:in STD_LOGIC;
            y:out STD_LOGIC);
end xnor_df;

architecture data_flow of xnor_df is
begin
    y<=a xnor b;
                  end data_flow;

Post a Comment

0 Comments