Ticker

6/recent/ticker-posts

Header Ads Widget


 

XOR Gate

 

 XOR Gate



CODE

library IEEE;
use IEEE STD_LOGIC_1164.all;

entity xor_df is
    port(a,b:in STD_LOGIC;
            y:out STD_LOGIC);
end xor_df;

architecture data_flow of xor_df is
begin
    y<=a xor b;
                  end data_flow;


Post a Comment

0 Comments